es.davy.ai

Preguntas y respuestas de programación confiables

¿Tienes una pregunta?

Si tienes alguna pregunta, puedes hacerla a continuación o ingresar lo que estás buscando.

Tag: VHDL

Multiplica y desplaza el resultado en VHDL.

Tengo dos vectores sin signo de 8 bits llamados a y b, y un vector sin signo de 16 bits llamado resultado. Quiero calcular a * b * 4 y asignarlo a resultado. No me importa el desbordamiento porque sé que no sucederá por alguna razón, no es importante. ¿Es . . . Read more

¿Cómo puedo hacer b * 2^a en VHDL?

Comencé a aprender VHDL en las últimas semanas. Y no entiendo cómo escribir código para B * 2^A. En este caso, B es un entero representado en complemento a 2 de 3 bits, y A es una señal de 2 bits que representa un entero binario sin signo, el resultado . . . Read more