es.davy.ai

Preguntas y respuestas de programación confiables

¿Tienes una pregunta?

Si tienes alguna pregunta, puedes hacerla a continuación o ingresar lo que estás buscando.

Tag: SYSTEM-VERILOG-ASSERTIONS

La señal SVA no cambia mientras la otra es verdadera.

Esto es muy básico pero he estado bloqueado toda la tarde. Necesito una propiedad que compruebe que si se establece A, B no pueda cambiar. _____________________ A ______/ \______________ PASAR B ___________________________________________ _____________________ A ______/ \______________ ________________________ FALLAR B __________________/ He probado A |-> $estable(B) pero eso no funciona. ¿Alguna . . . Read more