es.davy.ai

Preguntas y respuestas de programación confiables

¿Tienes una pregunta?

Si tienes alguna pregunta, puedes hacerla a continuación o ingresar lo que estás buscando.

Tag: COCOTB

Python3.6 cocotb coroutine: llamar a una función asincrónica que contiene “yield” en una función sincrónica.

Me encontré con algunos problemas al intentar hacer una función síncrona que llama a funciones asíncronas. (Python 3.6.9, cocotb 1.4.0) Como se muestra en el siguiente código de ejemplo, la función “read_cb” llamará a la función “read” (en la clase “FakeDriver”). Después de ejecutarlo, obtengo el error: yield self._fake_lock() RuntimeError: . . . Read more