es.davy.ai

Preguntas y respuestas de programación confiables

¿Tienes una pregunta?

Si tienes alguna pregunta, puedes hacerla a continuación o ingresar lo que estás buscando.

Tag: CHISEL

Cincele el valor persistente en el modulo hasta una nueva escritura.

He creado un módulo básico destinado a representar una unidad de memoria en Chisel3: class MemristorCellBundle() extends Bundle { val writeBus = Input(UInt(1.W)) val dataBus = Input(UInt(8.W)) val cellBus = Output(UInt(8.W)) } class MemCell() extends Module { val io = IO(new MemCellBundle()) val write = Wire(UInt()) write := io.voltageBus val . . . Read more

Si estoy personalizando el Util de cola de chisel3, ¿qué debo hacer con genType?

Estoy intentando personalizar un poco la clase Queue sin bifurcar chisel3 y construir desde la fuente. Estoy un poco confundido sobre la forma correcta de eliminar el uso del paquete interno. ¿Qué debo hacer con el genType de ReadyValidIO? private val genType = (DataMirror.internal.isSynthesizable(gen), chisel3.internal.Builder.currentModule) match { case (true, Some(module: . . . Read more