es.davy.ai

Preguntas y respuestas de programación confiables

¿Tienes una pregunta?

Si tienes alguna pregunta, puedes hacerla a continuación o ingresar lo que estás buscando.

Tag: AUTORESETEVENT

Reset Asíncrono no deseado

He escrito el siguiente código VHDL asumiendo que generará un contador con un reset síncrono. Sin embargo, cuando observé el diseño elaborado en Vivado 2020.2, ¡el contador tiene un reset ASINCRONO! ¡El proceso no debería evaluarse sin ver los flancos ascendentes/descendentes del reloj! ¿Cómo infirió la herramienta un reset asíncrono? . . . Read more